Как читать текстовый файл построчно в verilog?

У меня есть файл SREC, который является простым текстовым файлом, и я хочу читать его построчно в verilog. Как я могу это сделать?

Ответы на вопрос(2)

Ваш ответ на вопрос