Resultados da pesquisa a pedido "modelsim"

3 a resposta

Qual é a diferença entre Verilog! e ~?

Então acabou que o bug que tinha me mantido por dias, era uma seção de código que deveria ter avaliado como False avaliando como True. Meu código inicial foi...

1 a resposta

Existe uma maneira de alternar nomes de folhas no ModelSim através da API do TCL?

Eu estou procurando uma maneira de alternar os nomes de folhas das redes na visualização ModelSim, no modo GUI. Em outras palavras, o equivalente a pressiona...

3 a resposta

Existe uma maneira de usar um testbench para diferentes simuladores se os dois simuladores precisarem que seus próprios pacotes sejam usados?

Meu testbench usa uma função definida em um pacote modelsim (init_signal_spy). Portanto, não posso usar este testbench com um simulador diferente do ModelSims vsim, por exemplo, o ncsim de Candence. Mas existe uma função equivalente para o ncsim ...

3 a resposta

Como posso ler dados binários em VHDL / modelsim sem usar formatos binários especiais

Algum fundo:Estou escrevendo uma bancada de testes VHDL para um MAC Ethernet. O testbench consiste em um pacote e um arquivo combinado de entidade + arquitet...

1 a resposta

Erro ModelSim-Altera

Estou usando o Ubuntu Linux 14.04 LTS com a edição web Altera Quartus 15.0 e estou tendo dificuldades para simular meu design devido a erros de licenciamento. Estou projetando um LCD_driver para oVEEK-MT [http://goo.gl/QFxON0]tela sensível ao ...