Suchergebnisse für Anfrage "modelsim"

1 die antwort

Gibt es eine Möglichkeit, Blattnamen in ModelSim über die TCL-API umzuschalten?

Ich suche nach einer Möglichkeit, die Blattnamen der Netze in der ModelSim-Ansicht im GUI-Modus umzuschalten. Mit anderen Worten, ein Äquivalent zum Drücken ...

3 die antwort

Was ist der Unterschied zwischen Verilog! und ~?

So kam es, dass der Fehler, der mich tagelang beschäftigt hatte, ein Codeabschnitt war, der zu False hätte ausgewertet werden müssen, um zu True zu werden. M...

2 die antwort

ModelSim-Altera error

Ich verwende Ubuntu Linux 14.04 LTS mit der Altera Quartus 15.0 Web-Edition und kann mein Design aufgrund von Lizenzfehlern nur schwer simulieren. Ich entwerfe einen LCD_driver für das VEEK-MT [http://goo.gl/QFxON0] 's LCD-Touchscreen ...

TOP-Veröffentlichungen

3 die antwort

Wie kann ich Binärdaten in VHDL / modelsim ohne Verwendung spezieller Binärformate lesen?

Einige Hintergrundinformationen:Ich schreibe einen VHDL-Prüfstand für einen Ethernet-MAC. Die Testbench besteht aus einem Paket und einer kombinierten Entity...

3 die antwort

Gibt es eine Möglichkeit, eine Testbench für verschiedene Simulatoren zu verwenden, wenn beide Simulatoren ihre eigenen Pakete benötigen?

Meine Testbench verwendet eine Funktion, die in einem modelsim-Paket (init_signal_spy) definiert ist. Daher kann ich diese Testumgebung nicht mit einem anderen Simulator als ModelSims vsim verwenden, zum Beispiel mit Candences ncsim. Es gibt ...

2 die antwort

VHDL: Der Ausgabestatus kann nicht gelesen werden

Ich versuche, in ModelSim 10.0 zu kompilieren, und es wird ein Kompilierungsfehler angezeigt, der besagt, dass der Ausgabestatus nicht gelesen werden kann. Hier ist ein Ausschnitt aus dem Code. Es wäre großartig, wenn mir jemand sagen könnte, ...