nenhuma declaração de função para o operador

Recebo esta mensagem de erro:

testbench.vhd: 16: 22: nenhuma declaração de função para o operador "+"

nesta linha:

    Z <= unsigned(X) + resize(unsigned(Y),X'length);

com este código:

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

entity MCVE is
end entity MCVE;

architecture MCVE of MCVE is
  signal X, Z : std_logic_vector(15 downto 0);
  signal Y    : std_logic_vector(7 downto 0);
begin

  process    
  begin
    Z <= unsigned(X) + resize(unsigned(Y),X'length);
  end process;

end architecture MCVE;

https://www.edaplayground.com/x/2LBg

Eu não entendo o porquê.

questionAnswers(2)

yourAnswerToTheQuestion