сдвинуть std_logic_vector из n бит вправо или влево

У меня есть векторsignal tmp : std_logic_vector(15 downto 0)

Я должен сдвинуть его влево или вправо из n бит. как я могу реализовать эту операцию. Я думал об операции конкатенации, но я не знал, как ее использовать.

Ответы на вопрос(6)

Ваш ответ на вопрос