ModelSim-Altera error

Ich verwende Ubuntu Linux 14.04 LTS mit der Altera Quartus 15.0 Web-Edition und kann mein Design aufgrund von Lizenzfehlern nur schwer simulieren. Ich entwerfe einen LCD_driver für das VEEK-MT 's LCD-Touchscreen von Terasic mit dem Cyclone IV EP4CE115 von Altera.

hrlich gesagt habe ich nicht viel Erfahrung mit Simulationssoftware wie ModelSim-Altera aber ich weiß, wie man @ benut .vwf Dateien und simulieren mit ihnen, ich weiß auch, wie man Signaltap Logic Analyzer verwendet. Nach dem Erstellen desusinversity Programm .vwf files, ich kompiliere das Projekt, ich drückerun Funktionssimulation und ich bekomme ein Fenster mit folgendem Inhalt:

Bestimmen des Speicherorts der ausführbaren ModelSim-Datei ...

Using: /home/bdoronnb/Downloads/Quartus/15.0/ModelSim/modelsim_ase/bi

Um ein ausführbares ModelSim-Verzeichnis anzugeben, wählen Sie: Tools -> Options -> EDA Tool Options. Hinweis: Wenn sowohl ModelSim-Altera- als auch ModelSim-Executables verfügbar sind, wird ModelSim-Altera verwendet.

**** Generieren der ModelSim Testbench ****

quartus_eda --gen_testbench --check_outputs = on --tool = modelsim_oem --format = verilog --write_settings_files = off test5 -c test5 --vector_source = "/ path / to / Altera / projects / test / 5 / test5.vwf "--testbench_file =" / path / to / Altera / projects / test / 5 / simulation / qsim / test5.vwf.vt "

Inkonsistenz von ld.so erkannt: dl-close.c: 762: _dl_close: Assertion `map-> l_init_called 'fehlgeschlagen! Die Info: ************************************************ ******************* Info: Ausführen von Quartus II 64-Bit EDA Netlist Writer Info: Version 15.0.0 Build 145 22.04.2015 SJ Web Edition Info: Copyright ( C) 1991-2015 Altera Corporation. Alle Rechte vorbehalten. Info: Ihre Verwendung der Designtools, Logikfunktionen, Info: und anderer Software und Tools der Altera Corporation sowie der AMPP-Partnerlogik, Info: -Funktionen und aller Ausgabedateien aus den oben genannten Info: (einschließlich Geräteprogrammierungs- oder Simulationsdateien) und Beliebige Informationen: Zugehörige Dokumentationen oder Informationen unterliegen ausdrücklich den Bedingungen des Altera-Programms. Lizenzinformationen: Abonnementvertrag, Altera Quartus II-Lizenzvertrag,
Info: die Altera MegaCore-Funktionslizenzvereinbarung oder eine andere
Info: anwendbare Lizenzvereinbarung, einschließlich, ohne Einschränkung,
Info: Ihre Verwendung dient ausschließlich der Programmierung der Logik
Info: Geräte, die von Altera hergestellt und von Altera oder dessen @ verkauft werd
Info: autorisierte Händler. Bitte beachten Sie die zutreffenden
Info: Vereinbarung für weitere Details. Info: Die Verarbeitung hat begonnen: So Aug 9 22:18:46 2015Info: Befehl: quartus_eda --gen_testbench --check_outputs = on --tool = modelsim_oem --format = verilog --write_settings_files = off test5 -c test5 --vector_source = / path / to / Altera / projects / test / 5 / test5.vwf --testbench_file = / path / to / Altera / projects / test / 5 / simulation / qsim / test5.vwf.vtWarning (201007): Port kann nicht gefunden werden "h_counter" in designWarning (201007): Port kann nicht gefunden werden "h_counter [10]" in designWarning (201007): Port kann nicht gefunden werden "h_counter [9]" in designWarning (201007): Port kann nicht gefunden werden "h_counter [8] "in designWarning (201007): Port kann nicht gefunden werden" h_counter [7] "in designWarning (201007): Port kann nicht gefunden werden" h_counter [6] "in designWarning (201007): Port kann nicht gefunden werden" h_counter [5] "in designWarning (201007): Port kann nicht gefunden werden. h_counter [4]" in designWarning (201007): Port kann nicht gefunden werden. h_counter [3] "in designWarning (201007): Port kann nicht gefunden werden "h_counter [2]" in designWarning (201007): Port kann nicht gefunden werden "h_counter [1]" in designWarning (201007): Port kann nicht gefunden werden "h_co unter [0] "in designWarning (201007): Port" v_counter "kann nicht gefunden werden in designWarning (201007): Port" v_counter [9] "kann nicht gefunden werden in designWarning (201007): Port" v_counter [ 8] "in designWarning (201007): Port" v_counter "kann nicht gefunden werden [7]" in designWarning (201007): Port "v_counter" kann nicht gefunden werden [6] "in designWarning (201007): Port" v_counter "kann nicht gefunden werden [5] "in designWarning (201007): Port" v_counter "kann nicht gefunden werden [4]" in designWarning (201007): Port "v_counter [3]" kann nicht gefunden werden in designWarning (201007): Port "kann nicht gefunden werden v_counter [2] "in designWarning (201007): Port kann nicht gefunden werden" v_counter [1] "in designWarning (201007): Port kann nicht gefunden werden" v_counter [0] "in designWarning (201007): Port kann nicht gefunden werden "HSD_s" in designWarning (201007): Port "VSD_s" in designInfo (201000) nicht gefunden: Generierte Verilog-Prüfstandsdatei /path/to/Altera/projects/test/5/simulation/qsim/test5.vwf.vt für simulationInfo: Der Quartus II 64-Bit EDA Netlist Writer war erfolgreich. 0 Fehler, 25 Warnungen Info: Maximaler virtueller Speicher: 1088 Megabyte Info: Verarbeitung beendet: So Aug 9 22:18:47 2015 Info: Verstrichene Zeit: 00:00:01 Info: Gesamt-CPU-Zeit (auf allen Prozessoren): 00: 00:01 Erfolgreich abgeschlossen.

Erfolgreich beendet

**** Generierung der Funktionssimulationsnetzliste ****

quartus_eda --write_settings_files = off --functional = on --flatten_buses = off --simulation --tool = modelsim_oem --format = verilog --output_directory = "/ path / to / Altera / projects / test / 5 / simulation / qsim / "test5 -c test5

Inkonsistenz von ld.so erkannt: dl-close.c: 762: _dl_close: Assertion `map-> l_init_called 'fehlgeschlagen! Die Info: ************************************************ ******************* Info: Ausführen von Quartus II 64-Bit EDA Netlist Writer Info: Version 15.0.0 Build 145 22.04.2015 SJ Web Edition Info: Copyright ( C) 1991-2015 Altera Corporation. Alle Rechte vorbehalten. Info: Ihre Verwendung der Designtools, Logikfunktionen, Info: und anderer Software und Tools der Altera Corporation sowie der AMPP-Partnerlogik, Info: -Funktionen und aller Ausgabedateien aus den oben genannten Info: (einschließlich Geräteprogrammierungs- oder Simulationsdateien) und Beliebige Informationen: Zugehörige Dokumentationen oder Informationen unterliegen ausdrücklich den Bedingungen des Altera-Programms. Lizenzinformationen: Abonnementvertrag, Altera Quartus II-Lizenzvertrag,
Info: die Altera MegaCore-Funktionslizenzvereinbarung oder eine andere
Info: anwendbare Lizenzvereinbarung, einschließlich, ohne Einschränkung,
Info: Ihre Verwendung dient ausschließlich der Programmierung der Logik
Info: Geräte, die von Altera hergestellt und von Altera oder dessen @ verkauft werd
Info: autorisierte Händler. Bitte beachten Sie die zutreffenden
Info: Vereinbarung für weitere Details. Info: Die Verarbeitung hat begonnen: So Aug 9 22:18:53 2015Info: Befehl: quartus_eda --write_settings_files = off --functional = on --flatten_buses = off --simulation = on --tool = modelsim_oem --format = verilog - Ausgabeverzeichnis = / Pfad / nach / Altera / projects / test / 5 / simulation / qsim / test5 -c test5Info (204019): Generierte Datei test5.vo im Ordner "/ Pfad / nach / Altera / projects / test / 5 / simulation / qsim // "für EDA-SimulationstoolInfo: Der 64-Bit-EDA-Netlist-Writer von Quartus II war erfolgreich. 0 Fehler, 0 Warnungen Info: Maximaler virtueller Speicher: 1093 Megabyte Info: Verarbeitung beendet: So Aug 9 22:18:55 2015 Info: Verstrichene Zeit: 00:00:02 Info: Gesamt-CPU-Zeit (auf allen Prozessoren): 00: 00:01 Erfolgreich abgeschlossen.

**** Generieren des ModelSim .do-Skripts ****

/ path / to / Altera / projects / test / 5 / simulation / qsim / test5.do generiert.

Erfolgreich beendet

**** Ausführen der ModelSim-Simulation ****

/ home / bdoronnb / Downloads / Quartus / 15.0 / ModelSim / modelsim_ase / bin / vsim -c -do test5.do

/ home / bdoronnb / Downloads / Quartus / 15.0 / ModelSim / modelsim_ase / bin /../ linux / vish: Fehler beim Laden der gemeinsam genutzten Bibliotheken: libXft.so.2: Datei mit gemeinsam genutzten Objekten kann nicht geöffnet werden: Keine solche Datei oder Verzeichnis Fehler.

Jede Hilfe wird gebeten.

Antworten auf die Frage(2)

Ihre Antwort auf die Frage