Ошибка ModelSim-Altera

Я использую Ubuntu Linux 14.04 LTS с веб-изданием Altera Quartus 15.0, и мне трудно смоделировать мой дизайн из-за ошибок лицензирования. Я разрабатываю LCD_driver дляVEEK-MTLCD сенсорный экран с терасикойЦиклон IV EP4CE115 Альтера.

Честно говоря, у меня нет большого опыта с программным обеспечением моделирования, какModelSim-Altera но я знаю, как использовать.vwf файлы и имитировать с ними, я также знаю, как использовать сигнальный анализатор логики. После созданияПрограмма usinversity .vwf файлы, компилирую проект, жмузапустить функциональное моделирование и я получаю окно со следующим содержанием:

Определение местоположения исполняемого файла ModelSim ...

Использование: /home/bdoronnb/Downloads/Quartus/15.0/ModelSim/modelsim_ase/bin

Чтобы указать каталог исполняемых файлов ModelSim, выберите: Инструменты -> Параметры -> Параметры инструмента EDA. Примечание. Если доступны исполняемые файлы ModelSim-Altera и ModelSim, будет использоваться ModelSim-Altera.

**** Создание ModelSim Testbench ****

quartus_eda --gen_testbench --check_outputs = on --tool = modelsim_oem --format = verilog --write_settings_files = off test5 -c test5 --vector_source = "/ path / to / Altera / projects / test / 5 / test5.vwf" --testbench_file = "/ путь / к / Altera / проекты / тест / 5 / моделирования / qsim / test5.vwf.vt"

Ld.so: dl-close.c: 762: _dl_close: обнаружение несоответствия: ошибка: map-> l_init_called 'не удалось! Информация: ************************************************ ******************* Информация: Запуск 64-битного EDA Writer Netlist Writer Quartus II Информация: Версия 15.0.0 Build 145 04/22/2015 SJ Web Edition Информация: Авторское право ( В) 1991-2015 Altera Corporation. Все права защищены. Info: Ваше использование инструментов проектирования, логических функций Altera Corporation Info: и другого программного обеспечения и инструментов, а также его логики партнера AMPP Info: функции и любые выходные файлы любой из вышеперечисленных Info: (включая файлы программирования устройства или файлы моделирования), и любая информация: соответствующая документация или информация прямо подчиняются информации: условиям и положениям Лицензионной информации программы Altera: Соглашение о подписке, Лицензионное соглашение Altera Quartus II,
Информация: Лицензионное соглашение на функцию Altera MegaCore или другое
Информация: действующее лицензионное соглашение, в том числе, без ограничений,
Информация: что вы используете только для программирования логики
Информация: устройства, изготовленные Altera и продаваемые Altera или ее
Информация: авторизованные дистрибьюторы. Пожалуйста, обратитесь к применимым
Информация: соглашение для дальнейших деталей. Информация: Обработка начата: воскресенье, 9 августа 22:18:46 2015Info: Команда: quartus_eda --gen_testbench --check_outputs = on --tool = modelsim_oem --format = verilog --write_settings_files = off test5 -c test5 --vector_source = / путь / к / Altera / projects / test / 5 / test5.vwf --testbench_file = / путь / к / Altera / projects / test / 5 / моделирование / qsim / test5.vwf.vtWarning (201007): не удается найти порт "h_counter" в designWarning (201007): не удается найти порт "h_counter [10]" в designWarning (201007): не удается найти порт "h_counter [9]" в designWarning (201007): не удается найти порт "h_counter [8] "в designWarning (201007): не удается найти порт" h_counter [7] "в designWarning (201007): не удается найти порт" h_counter [6] "в designWarning (201007): не удается найти порт" h_counter [5] "в designWarning (201007): не удается найти порт" h_counter [4] "в designWarning (201007): не удается найти порт" h_counter [3] "в designWarning (201007): не удается найти порт «h_counter [2]» в designWarning (201007): не удается найти порт «h_counter [1]» в designWarning (201007): не удается найти порт «h_co unter [0] "в designWarning (201007): не удается найти порт" v_counter "в designWarning (201007): не удается найти порт" v_counter [9] "в designWarning (201007): не удается найти порт" v_counter [ 8] "в designWarning (201007): не удается найти порт" v_counter [7] "в designWarning (201007): не удается найти порт" v_counter [6] "в designWarning (201007): не удается найти порт" v_counter [5] "в designWarning (201007): не удается найти порт" v_counter [4] "в designWarning (201007): не удается найти порт" v_counter [3] "в designWarning (201007): не удается найти порт" v_counter [2] "в designWarning (201007): не удается найти порт" v_counter [1] "в designWarning (201007): не удается найти порт" v_counter [0] "в designWarning (201007): не удается найти порт «HSD_s» в designWarning (201007): не удается найти порт «VSD_s» в designInfo (201000): созданный файл испытательного стенда Verilog /path/to/Altera/projects/test/5/simulation/qsim/test5.vwf.vt для имитационной информации: Quartus II 64-битный EDA Writlist Netlist успешно завершен. 0 ошибок, 25 предупреждений Информация: Пиковая виртуальная память: 1088 мегабайт Информация: Обработка завершена: Вс 9 августа 22:18:47 2015 Информация: Истекшее время: 00:00:01 Информация: Общее время ЦП (на всех процессорах): 00: 00:01 Успешно завершено.

Завершено успешно.

**** Создание функционального симулятора netlist ****

quartus_eda --write_settings_files = off --functional = on --flatten_buses = off --simulation --tool = modelsim_oem --format = verilog --output_directory = "/ путь / к / Altera / projects / test / 5 / моделирование / qsim / "test5 -c test5

Ld.so: dl-close.c: 762: _dl_close: обнаружение несоответствия: ошибка: map-> l_init_called 'не удалось! Информация: ************************************************ ******************* Информация: Запуск 64-битного EDA Writer Netlist Writer Quartus II Информация: Версия 15.0.0 Build 145 04/22/2015 SJ Web Edition Информация: Авторское право ( В) 1991-2015 Altera Corporation. Все права защищены. Info: Ваше использование инструментов проектирования, логических функций Altera Corporation Info: и другого программного обеспечения и инструментов, а также его логики партнера AMPP Info: функции и любые выходные файлы любой из вышеперечисленных Info: (включая файлы программирования устройства или файлы моделирования), и любая информация: соответствующая документация или информация прямо подчиняются информации: условиям и положениям Лицензионной информации программы Altera: Соглашение о подписке, Лицензионное соглашение Altera Quartus II,
Информация: Лицензионное соглашение на функцию Altera MegaCore или другое
Информация: действующее лицензионное соглашение, в том числе, без ограничений,
Информация: что вы используете только для программирования логики
Информация: устройства, изготовленные Altera и продаваемые Altera или ее
Информация: авторизованные дистрибьюторы. Пожалуйста, обратитесь к применимым
Информация: соглашение для дальнейших деталей. Информация: Обработка началась: воскресенье, 9 августа 22:18:53 2015Info: Команда: quartus_eda --write_settings_files = off --functional = on --flatten_buses = off --simulation = on --tool = modelsim_oem --format = verilog - output_directory = / путь / к / Altera / projects / test / 5 / моделирование / qsim / test5 -c test5Info (204019): созданный файл test5.vo в папке "/ path / to / Altera / projects / test / 5 / моделирование / qsim // "для инструмента моделирования EDA Информация: Quartus II 64-битный EDA Netlist Writer был успешным. 0 ошибок, 0 предупреждений Информация: Пик виртуальной памяти: 1093 мегабайта Информация: обработка завершена: вс 9 августа 22:18:55 2015 Информация: Истекшее время: 00:00:02 Информация: общее время ЦП (на всех процессорах): 00: 00:01 Успешно завершено.

**** Создание сценария ModelSim .do ****

/path/to/Altera/projects/test/5/simulation/qsim/test5.do сгенерировано.

Завершено успешно.

**** Запуск симуляции ModelSim ****

/home/bdoronnb/Downloads/Quartus/15.0/ModelSim/modelsim_ase/bin/vsim -c -do test5.do

/home/bdoronnb/Downloads/Quartus/15.0/ModelSim/modelsim_ase/bin/../linux/vish: ошибка при загрузке общих библиотек: libXft.so.2: невозможно открыть файл общего объекта: такого файла или каталога нет. Ошибка.

Любая помощь приветствуется.

Ответы на вопрос(1)

Ваш ответ на вопрос