found '0' Definitionen des Operators "+" in VHDL

Als erstes möchte ich darauf hinweisen, dass dies mein erster Versuch mit VHDL ist, also sei freundlich. Ich möchte die Eingänge X1 ... X4 lesen und die Summe der Eingänge am Ausgang erzeugen. Das ist mein Code

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity counter_of_aces is 
  Generic(N: integer := 3);
     port( X1, X2, X3, X4 : IN BIT; 
        count: out std_logic_vector(N-1 downto 0)); 
end counter_of_aces;

architecture behavioral of counter_of_aces is 
signal counter : std_logic_vector(Ν-1 downto 0);
begin 
  process (X1, X2, X3, X4) 
  begin
    counter <= "0";
    if(X1='1' OR X2='1' OR X3='1' OR X4='1')then 
        counter <= counter + "1"; --O counter λειτουργεί ως στοιχείο μνήμης 
    else
        counter <= counter;
    end if; 
  end process; 
end behavioral;

und ich erhalte die folgenden Fehlermeldungen

ERROR:HDLCompiler:69 - Line 11: <í> is not declared.
ERROR:HDLCompiler:1731 - Line 17: found '0' definitions of operator "+", cannot determine exact overloaded matching definition for "+"
ERROR:HDLCompiler:854 - Line 10: Unit <behavioral> ignored due to previous errors.

Welches "i" ist gemeint und was ist mit den anderen? Danke im Voraus

Antworten auf die Frage(3)

Ihre Antwort auf die Frage