VHDL: Der Ausgabestatus kann nicht gelesen werden

Ich versuche, in ModelSim 10.0 zu kompilieren, und es wird ein Kompilierungsfehler angezeigt, der besagt, dass der Ausgabestatus nicht gelesen werden kann.

Hier ist ein Ausschnitt aus dem Code. Es wäre großartig, wenn mir jemand sagen könnte, was ich falsch mache.

entity controller_entity is
generic( entryCount : positive := 2;
         ....);
port(
    clk         : in  std_logic;
    ....
    entry_car_entered : out std_logic_vector(0 to entryCount-1)
);
end entity controller_entity;

architecture controller_v1 of controller_entity is
signal cars_entered : std_logic_vector(0 to entryCount-1);

component entry is
    port(
        clk       : in  std_logic;
        ....
        car_passed: out std_logic  --Output to higher level
    );
end component;

begin   
    CREATE_ENTRANCES: for i in 0 to entryCount-1 generate
                entryi : entry port map(clk => clk,
                        ....
                car_passed => entry_car_entered(i) -- This line causes the problem.                                             

                        end generate CREATE_ENTRANCES;

    .....

);
end architecture controller_v1;

Ich denke, ich kann dies beheben, wenn ich auf die Kompilierung mit VHDL 2008 umsteige, aber ich versuche, mich an 1993 zu halten. Für Ratschläge zu diesem Thema wäre ich sehr dankbar.

Antworten auf die Frage(2)

Ihre Antwort auf die Frage