Der beste Weg, um von der Testbench aus auf die uvm_config_db zuzugreifen?

Ich möchte in meiner Testbench der obersten Ebene eine Uhr erstellen, deren Zeitraum über den Test gesteuert werden kann. Was ich getan habe, war, den Punkt in die uvm_config_db zu setzen und ihn wieder in die Testbench zu bekommen. Ich musste eine # 1 eingeben, um sicherzustellen, dass die Erstellungsphase beendet war, andernfalls wurde der falsche Wert zurückgegeben:

module testbench_top;
  int clk_period;

  bit clk = 0;

  initial begin
    #1;    
    void'(uvm_config_db #(int) ::get(null, "uvm_test_top.env", "clk_period", clk_period));
    // Create clk
    forever begin
      #(clk_period/2) clk = !clk;
    end
  end

Ich ärgere mich über die Nummer 1. Gibt es eine bessere Möglichkeit, um zu überprüfen, ob die Konfiguration festgelegt wurde? Kann ich irgendwie bis zum Start der Simulationsphase blockieren?