Suchergebnisse für Anfrage "uvm"

2 die antwort

Regex in SV oder UVM

Welche Funktionen muss ich aufrufen, um reguläre Ausdrücke in Systemverilog / UVM zu verwenden? Hinweis: Ich frage nicht, wie man reguläre Ausdrücke verwendet, sondern nur Methodennamen.

2 die antwort

Der beste Weg, um von der Testbench aus auf die uvm_config_db zuzugreifen?

TOP-Veröffentlichungen