Оператор «<<» в Verilog

у меня есть код verilog, в котором есть строка следующим образом:

parameter ADDR_WIDTH = 8 ;
parameter RAM_DEPTH = 1 << ADDR_WIDTH;

вот что будет храниться вRAM_DEPTH и что<< Оператор здесь.

Ответы на вопрос(3)

Ваш ответ на вопрос