Resultados da pesquisa a pedido "verilog"

4 a resposta

Na impressão do verilog, o valor inteiro assinado é armazenado em uma variável do tipo reg

Como imprimir um valor inteiro assinado armazenado em um registro de 8 bits declarado como reg [7: 0] acc; Usando $ display ("acc:% d", acc) Imprime o valor não assinad ual a sintaxe correta para a função $ displa