Como incorporar o Systemverilog Interpreter usando o DPI-C?

Descrição do Problema:

Eu desenho no SystemVerilog e escrevo os bancos de teste no mesmo idioma. Quero poder compilar meu design e testar diferentes funções durante a simulação da maneira que você usaria um intérprete com e. Idealmente, eu teria um terminal pop-up na simulação quando o simulador atingisse alguma linha.

Idéias potenciais:

Examinei o DPI-C e parece que eu teria que "exportar" todas as tarefas do meu projeto para executá-las a partir do intérprete. No entanto, não tenho certeza de como fazer isso automaticamente ou se há uma maneira melhor. Além disso, não tenho idéia de como eu faria com que C abrisse um segundo shell para eu digitar as tarefas do SystemVerilog (que eu gostaria de executar).

Este é um problema que ecoa por meus colegas e tornaria a vida muito menos dolorosa por não ter que esperar 10 minutos entre a compilação de apenas uma bancada de testes.

questionAnswers(3)

yourAnswerToTheQuestion