Resultados de la búsqueda a petición "vhdl"

3 la respuesta

Confusión entre los programas del modelo de comportamiento y flujo de datos en VHDL

4 la respuesta

Asignación continua aparentemente no funciona

Estoy trabajando en un filtro FIR, específicamente la línea de retardo.

4 la respuesta

¿Cómo convertir 8 bits a 16 bits en VHDL?

Tengo una señal de entrada del convertidor ADC que es de 8 bits (

2 la respuesta

Síntesis de VHDL - FF / Latch Constant Value

Estoy tratando de sintetizar un módulo vhdl que he escrito.El código está abajo:

3 la respuesta

clk'event vs rising_edge ()

Siempre había usado esto para detectar un flanco ascendente:

3 la respuesta

¿Cómo puedo leer datos binarios en VHDL / modelsim sin usar formatos binarios especiales?

4 la respuesta

¿El proceso en VHDL es reentrante?

¿Es posible dos o más ejecuciones secuenciales para un proceso en

2 la respuesta

Cómo representar un entero mayor que un entero

5 la respuesta

VHDL: use la longitud de un entero genérico para determinar el número de líneas seleccionadas

Estoy tratando de crear un cambiador de cañón reutilizable; toma una matriz de entrada de bits y los desplaza un cierto número de posiciones (determinado por...

2 la respuesta

calcular (y validar) ethernet FCS (crc32) en vhdl