Resultados de la búsqueda a petición "verilog"

2 la respuesta

¿La mejor manera de acceder a uvm_config_db desde el banco de pruebas?

Quiero crear un reloj en mi banco de pruebas de nivel superior, cuyo período se puede controlar desde la prueba. Lo que hice fue establecer el período en uvm...

2 la respuesta

número verilog de los que están en la matriz

1 la respuesta

Incluir un módulo en verilog.

Quiero incluir un módulo verilog en otro archivo. ¿Cómo lo incluyo en el código y cómo compilo el código para incluir el archivo de encabezado? ¿Es como en c?

2 la respuesta

¿Cómo definir e inicializar un vector que contiene solo unos en Verilog?

2 la respuesta

¿Qué es `+:` y `-:`?

3 la respuesta

Operador '<<' en verilog

Tengo un código verilog en el que hay una línea de la siguiente manera:

1 la respuesta

Detalles de la implementación del hardware del divisor de punto flotante

¿Estoy tratando de implementar un divisor de hardware de punto flotante de 32 bits en el hardware y me pregunto si puedo obtener alguna sugerencia en cuanto ...

2 la respuesta

Pruebas en serie y aserciones con System-Verilog

2 la respuesta

¿Cómo leer un archivo de texto línea por línea en verilog?

3 la respuesta

¿Cuál es la diferencia entre Verilog! y ~?

Así que terminó que el error que me había mantenido durante días, era una sección de código que debería haber evaluado a Falso evaluando a Verdadero. Mi códi...