Error de sintaxis de VHDL

En mi código, tengo las siguientes líneas:

architecture Behavioral of L6 is

begin
with SEL select 
    Z <= '1111110' when '0000',
          '00110000' when '0001',
           '1111011' when '1001';

Hay un error de sintaxis "cerca de" (la primera línea con una comilla simple), pero eso es todo el software que estoy usando me dirá. ¿Alguien puede darme más ayuda que eso?

Respuestas a la pregunta(1)

Su respuesta a la pregunta