Ошибка синтаксиса VHDL

В моем коде у меня есть следующие строки:

architecture Behavioral of L6 is

begin
with SEL select 
    Z <= '1111110' when '0000',
          '00110000' when '0001',
           '1111011' when '1001';

Есть синтаксическая ошибка «рядом» (первая строка с одинарной кавычкой), но это все программное обеспечение, которое я использую, скажет мне. Кто-нибудь может дать мне больше помощи, чем это?

Ответы на вопрос(1)

Ваш ответ на вопрос