¿A qué sigue siempre el significado de # (...) libras en Verilog?

Estoy tratando de aprender Verilog, y en un simple ejemplo de generador de reloj, veo el siguiente código:

always #(cycle/2) clk ~= clk

He visto siempre @ (*) antes, pero no libra (#). Traté de encontrarlo en la documentación, pero todo lo que pude encontrar fue alguna referencia a "puertos de valor real" sin más detalles.

¡Gracias por toda tu ayuda!

Respuestas a la pregunta(1)

Su respuesta a la pregunta