¿Cómo leo una variable de entorno en Verilog / System Verilog?

¿Cómo leo una variable de entorno en Verilog? (Funcionando en un simulador VCS)

Estoy tratando de lograr

File=$fopen("$PATH/FileName","r");

$ PATH es una variable de entorno.