Как представить Integer больше, чем integer'high

Есть ли способ использовать предопределенные типы из STD_LOGIC_1164 или STD_NUMERIC для представления целого числа в диапазоне от 0 до 2 ^ 32-1? (с учетом целочисленного типа по умолчанию в диапазоне от -2 ^ 31-1 до 2 ^ 31-1)

Мне нужно реализовать 32-битный счетчик и искал какой-то способ сохранить код, используя целочисленный тип вместо std_logic_vector. Любой шаблон проектирования для этого?

Или лучше спросить: как лучше объявить 32-разрядное (без знака) целое число, поддерживающее операции> / <, =, + - /?

Заранее

Edit1: один из вариантов, который я нашел, состоял в том, чтобы объявить сигнал как std_logic_vector (от 31 до 0) и выполнить преобразования при выполнении сравнений или операций + -. Ex: counter <= счетчик + std_logic_vector (без знака (значение) + 1) .. Все еще нетt нашел способ сделать деление (например, если требуется 1/4 от значения счетчика)

Ответы на вопрос(2)

Ваш ответ на вопрос