При печати verilog целочисленное значение со знаком хранится в переменной типа reg

Как напечатать целочисленное значение со знаком, хранящееся в 8-битном регистре, объявленном как

рег [7: 0] акк;

С помощью

$ display ("acc:% d", acc)

Он печатает значение без знака.

Какой правильный синтаксис для функции $ display?

Ответы на вопрос(2)

Ваш ответ на вопрос