Теперь c (новый) = a (новый) = b (старый) и b (новый) = c (старый)

ператора процесса в vhdl говорится, что порядок выполнения внутри оператора процесса является последовательным. Мой вопрос заключается в том, что, пожалуйста, сначала посмотрите на приведенный ниже код, являются ли сигналы a, b и c назначенными их новым значениям одновременно или последовательно в операторе if, который находится в операторе процесса?

   process(clk) is
   begin
   if rising_edge(clk) then
   a <= b ;
   b <= c ;
   c <= a;
   end if;
   end process;

Поэтому, если это последовательно, я должен сказать, что после окончания процесса a равно b, b равно c и c равно b, потому что мы присвоили b a, прежде чем присвоили a c. Однако это не представляется возможным для аппаратного обеспечения.

Ответы на вопрос(2)

Ваш ответ на вопрос