Как получить квадратный корень для 32-битного ввода только за один такт?

Я хочу разработать синтезируемый модуль в Verilog, который займет всего один цикл при вычислении квадратного корня из заданного ввода 32 бит.

Ответы на вопрос(4)

Ваш ответ на вопрос