Как сделать простое моделирование Aldec Active-HDL с осциллограммой с использованием сценариев Tcl?
Наличие простого испытательного стенда, такого как:
entity tb is
end entity;
architecture syn of tb is
signal show : boolean;
begin
show <= TRUE after 10 ns;
end architecture;
GUI ModelSim позволяет моделировать и просматривать формы волны с помощью Tcl-скрипта в "all.do" с:
vlib pit
vcom -work pit tb.vhd
vsim pit.tb
add wave sim:/tb/show
run 20 ns
Кудаdo all.do
в консоли GUI ModelSim создаст библиотеку, скомпилирует, загрузит модель tb и покажет форму волны:
Как сделать аналогичный простой Tcl-скрипт для аналогичной симуляции с помощью симулятора Aldec Active-HDL?