desloque um std_logic_vector de n bit para a direita ou esquerda

Eu tenho um vetorsignal tmp : std_logic_vector(15 downto 0)

Tenho que mudar para a esquerda ou direita de n bits. como posso realizar essa operação. Pensei na operação de concatenação, mas não sabia como usá-l