Erro de sintaxe VHDL

No meu código, tenho as seguintes linhas:

architecture Behavioral of L6 is

begin
with SEL select 
    Z <= '1111110' when '0000',
          '00110000' when '0001',
           '1111011' when '1001';

Há um erro de sintaxe "próximo" "(a primeira linha com aspas simples), mas esse é o software que estou usando. Alguém pode me dar mais ajuda do que isso?

questionAnswers(1)

yourAnswerToTheQuestion