Resultados de la búsqueda a petición "fpga"

4 la respuesta

Error al agregar std_logic_vector, s

Quiero tener un módulo simple que agregue dos std_logic_vectors. Sin embargo, cuando se usa el siguiente código con el operador +, no se sintetiza. library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; entity add_module is ...

4 la respuesta

¿Cómo generar un número pseudoaleatorio en FPGA?