Wie binde ich Systemverilog Interpreter mit DPI-C ein?

Problembeschreibun:

Ich entwerfe in SystemVerilog und schreibe die Testbenches in derselben Sprache. Ich möchte in der Lage sein, mein Design zu kompilieren und verschiedene Funktionen während der Simulation zu testen, so wie Sie es mit einem Interpreter mit e tun würden. Idealerweise würde bei der Simulation ein Terminal-Popup erscheinen, wenn der Simulator eine Zeile berührt.

Potential Ideas:

Ich habe mir das DPI-C angesehen und es scheint, als müsste ich alle Aufgaben in meinem Projekt "exportieren", um sie vom Interpreter auszuführen. Ich bin mir jedoch nicht sicher, wie ich das automatisch machen soll oder ob es einen besseren Weg gibt. Außerdem habe ich keine Ahnung, wie ich C dazu bringen würde, eine zweite Shell zu öffnen, in die ich die SystemVerilog-Tasks eingeben kann (die ich ausführen möchte).

Dies ist ein Problem, das von meinen Kollegen wiederholt wird, und es würde das Leben weniger schmerzhaft machen, nicht 10 Minuten zwischen dem Kompilieren einer Testbench warten zu müssen.