Результаты поиска по запросу "fpga"

4 ответа

Как сгенерировать псевдослучайное число в FPGA?

Как сгенерировать псевдослучайное число в FPGA?

3 ответа

Добавление библиотеки в проект VHDL

Я пытаюсь использовать числа с фиксированной запятой в своем проекте VHDL, но у меня возникают проблемы при реализации библиотеки (находится здесьhttp://www....

1 ответ

Умножение путем суммирования степенных рядов с отрицательными членами

Как вычислить мультипликатор с плавающей запятой в Verilog? До сих пор я обычно использую shift << 1024, затем число с плавающей точкой становится целы...

ТОП публикаций

3 ответа

Как можно заставить ядро Linux перечислять шину PCI-e?

Ядро Linux 2.6 У меня есть fpga, загружаемая через GPIO, подключенную к плате разработки под управлением Linux. Fpga будет передавать и получать данные по ш...

4 ответа

Как сгенерировать псевдослучайное число в FPGA?

Как сгенерировать псевдослучайное число в FPGA?

0 ответов

 укажите контроллер (Cypress cy68013a), который обрабатывает все соединения со скоростью 36 МБ / с между ПК и ПЛИС по USB. и API (интерфейс прикладного программиста) в C, C ++, C #, Ruby, Python и Java. цена 200 $. --- --- odsa

ужно прочитать маленькое изображение (формат tif) с ПК в комплект FPGA (ALTERA DE2-70) для обработки, а затем записать его обратно на ПК. Понятия не имею, как это сделать в Verilog? Это может быть сделано в C? если так, как я могу объединить мой ...

0 ответов

@ user1155120 к счастью, в stackoverflow вы всегда можете опубликовать лучший ответ;)

ользую плату FPGA Spartan 2 и хочу подсчитать количество нажатий клавиш на клавиатуре. Это мой VHDL-код: library ieee ; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; ENTITY ...

3 ответа

Как можно заставить ядро Linux перечислять шину PCI-e?

1 ответ

Передача параметров в модули Verilog

Я нахожусь в процессе написания некоторых модулей Verilog для дизайна FPGA. Я посмотрел в интернете, чтобы узнать, как лучше всего параметризовать свои модул...

4 ответа

Ошибка добавления std_logic_vector, s