Jak mogę odczytać dane binarne w VHDL / modelsim bez użycia specjalnych formatów binarnych

Jakieś tło:

Piszę stanowisko testowe VHDL dla ethernetowego MAC. Testbench składa się z pakietu i połączonego pliku encji + architektury. Chcę odczytać ramki ethernetowe, które testbench wyśle ​​do MAC z pliku binarnego, który wyeksportowałem z wireshark.
Piszę w VHDL 2008 i używam kompilatora Model Mentor Graphics ModelSim ALTERA vcom 10.0d.

Problem:

Wszystkie rozwiązania do odczytu danych binarnych w VHDL / modelsim, które dotychczas znalazłem, wykorzystują specjalne formaty plików, w których 1 bit bit_vector jest reprezentowany przez kilka bitów w pliku. Chciałbym, aby VHDL odczytał plik binarny do 8-bitowych wektorów bitowych.
Najbliższy, jaki do tej pory zdobyłem, to użycie pliku typu znakowego, w którym mogę pisać 8-bitowe znaki ASCII bezpośrednio w reprezentacji binarnej.

questionAnswers(3)

yourAnswerToTheQuestion