Verilog: как создать экземпляр модуля

Если у меня есть модуль Verilog "top" и модуль Verilog "subcomponent", как мне создать экземпляр subcomponent в верхней части?

Вверх:

module top(
   input        clk,
   input        rst_n,
   input        enable,
   input  [9:0] data_rx_1,
   input  [9:0] data_rx_2,
   output [9:0] data_tx_2
);

субкомпонент:

module subcomponent(
   input        clk,
   input        rst_n,
   input  [9:0] data_rx,
   output [9:0] data_tx
);

Запись
Это было написано как общий вопрос, который возникает время от времени, он следует засамостоятельно ответ формат. Дополнение ответы и обновления приветствуются.

Ответы на вопрос(2)

Ваш ответ на вопрос