Как я могу читать двоичные данные в VHDL / modelsim без использования специальных двоичных форматов

Немного предыстории:

Я пишу тестовый стенд VHDL для локальных сетей MAC. Тестовый стенд состоит из пакета и объединенного файла сущности + архитектуры. Я хочу прочитать кадры Ethernet, которые тестовый стенд отправит на MAC из двоичного файла, который я экспортировал из wireshark.
Я пишу в VHDL 2008 и использую технологию графической модели Mentor ModelSim ALTERA vcom 10.0d Compiler.

Проблема:

Все решения для чтения двоичных данных в VHDL / modelsim, которые я обнаружил до сих пор, используют специальные форматы файлов, где 1 бит bit_vector представлен несколькими битами в файле. Я хотел бы, чтобы VHDL считывал двоичный файл в 8-битные битовые векторы.
Самое близкое, что я получил до сих пор, - это использование файла символьного типа, где я могу писать 8-битные символы ASCII непосредственно в двоичном представлении.

Ответы на вопрос(3)

Ваш ответ на вопрос