изменить сигнал внутри процесса с помощью оператора if - VHDL

У меня есть этот код в VHDL. Что я хочу, так это подняться первымсобытие и потом, первое - это упасть само собой. Но когда я симулирую это, первое никогда не падает!

process(rst,clk,sw)
    begin
        if (clk'EVENT and clk='1') then
              if (rst='1') then 
                  rst

Ответы на вопрос(2)

Ваш ответ на вопрос